Advancing In-Vehicle Connectivity to Automotive Ecosystem

Article By : Gina Roos

A new MIPI Alliance standard addresses four challenges: limited bandwidth, too many cables, distance limitations, and harsh environments.

Imagine all of the cables and wire harnessing that are needed in a vehicle to connect a growing array of cameras, radars, sensors and sensor modules, displays, and electronic control units (ECUs). That’s a huge in-vehicle connectivity problem. In fact, a typical wiring harness assembly weighs about 60 kilograms (about 132 pounds), making it the third-heaviest part of the vehicle after the engine and chassis. As more cameras, sensors, radars, displays, and other electronics get packed into a vehicle to implement new safety and infotainment features, automotive OEMs are looking for safer and more resilient high-speed in-vehicle connectivity. But it’s not that easy. It comes with several big challenges, including limited bandwidth, too many cables (and the need to use heavier shielded wiring to meet electromagnetic compatibility [EMC] challenges), wiring length limitations, and harsh environments. And different use cases, such as infotainment, computing, and autonomous vehicles, need different connectivity technologies. The good news is that the MIPI Alliance’s recently released MIPI A-PHY standard will help solve those challenges.
Symmetric and asymmetric automotive data
Symmetric and asymmetric automotive data flows in various automotive systems (Image: MIPI Alliance)
As more devices get packed into a vehicle to deliver more safety features and support for autonomous driving, requirements will rise for multi-gigabit bandwidth, long-distance connectivity, and safe and resilient data links, said Daniel Shwartzberg, director of technical pre-sales at Valens, during a presentation for the AutoSens 2020 virtual conference. Shwartzberg cited four key roadblocks that automotive OEMs face for wired in-vehicle connectivity. The first is limited bandwidth. “Solutions today are running into the bandwidth wall not only in terms of the amount of data that they can transfer, but also the fact that it needs to be extremely time-sensitive when we’re thinking about sensors for advanced driver-assistance systems or autonomous applications,” he said. That means that latency needs to be low because a delay on a signal can be critical for safety applications. The second challenge centers on the wiring harness itself. “There [are] just too many wires, too many cables going into the vehicle today,” said Shwartzberg. “We’re trying to connect an ever-increasing number of ECUs from various points around the vehicle, and this is becoming extremely difficult to achieve.” This plays right into the third challenge: wiring lengths. “There are distance limitations with the existing solutions today in terms of how far we can run a signal through the vehicle, and that has a direct impact on physical topology — the physical layout of the sensors of the ECUs within the car,” Shwartzberg said. The fourth challenge is the harsh automotive environment, including electromagnetic interference (EMI), EMC, and temperature. It’s not just the extremely harsh automotive electromagnetic environment. “It’s also the fact that the vehicle has very severe temperature swings and the cables are exposed to aging and to rough handling during manufacturing,” said the Valens executive. “And throughout the lifetime of the vehicle, cables are exposed to vibrations, heat cycling, etc.”

Multi-gigabit communication

The four challenges erect additional design roadblocks for automotive OEMs. One is the need for high-bandwidth links of at least 1 Gbits/s up to 10 Gbits/s (and in the future, beyond those speeds), as well as the need for very resilient communication links. Emerging ADAS/AV features are driving the need for multi-sensor fusion that connects central “brains” using long, high-throughput links to multiple sensors at the edge of the vehicle. But the harsh in-vehicle environment poses challenges to these safety-critical data links, requiring shielded cables to tolerate the high EMI levels, said Shwartzberg. In addition, an exponential increase in ADAS/AV data rates is pushing conventional automotive communication technologies to their boundaries and even beyond in terms of the required immunity margins at these rates. That has implications for the component-level EMC testing methods needed to guarantee safe vehicle lifespan operation over shielded cable, according to Shwartzberg. Increased bandwidth presents EMI challenges, he said. “As the data rate goes up, the signal-to-noise ratio tends to go down, and suddenly, electromagnetic noises can have a marked effect.” Of course, automotive OEMs and Tier 1 suppliers test for EMC, but Shwartzberg said the current EMC specifications are lagging as technologies move to much higher bandwidths, and there is a “desperate need for safe, robust, resilient links.” Some of the EMC and EMI risks, such as crosstalk between cables and unpredictable electromagnetic environments, are already being managed, he said, but there are emerging EMC concerns that the industry is confronting for the first time. Those include multi-gigabit bandwidth, more mission-critical systems (ADAS/AV), a lack of field experience with links at or higher than 1 Gbits/s, EMI noise, insufficient EMC testing specs, cable flexing/aging and shield deterioration, and electric vehicles with very high current flows that generate very high electromagnetic noise. These factors can lead to system failures in the field, increased warranty costs, vehicle recalls, and a risk of injury or death. One trend in the automotive industry is a move to “zonal architecture” to solve some of these challenges. This architecture offers high-speed data transmission, higher bandwidth, weight reduction, and less complexity. Shwartzberg cited Aptiv’s new smart vehicle architecture (SVA) as an example. The modular zonal architecture is designed to simplify manufacturing and vehicle assemblies. A central compute cluster anchors SVA, with power data centers, or zonal hubs, located at the corners of the vehicle. Multiple sensors feed into each hub, and the data is then fed into the central compute cluster.
Smart vehicle architecture
Image: Aptiv
“These sorts of topologies are needed in order to reduce the number of ECUs in the vehicle, but the amount of bandwidth needed on each link suddenly goes up dramatically as well,” said Shwartzberg. “I have fewer ECUs, but I still have the same amount of data, and [it’s] going over less wiring. So I need faster links, higher-bandwidth links — and again, this is all safety-critical, so those links need to be extremely robust and secure.” MIPI A-PHY To meet the challenges, Valens offers ultra-high-speed in-vehicle connectivity solutions —physical-layer technologies — that simplify vehicle architectures. They are also designed to be scalable and future-proofed and support symmetric (HDBaseT) and asymmetric (MIPI A-PHY) applications. The MIPI Alliance selected the Valens PHY technology as the baseline for the new MIPI A-PHY physical-layer standard, released to alliance members in September. Targeting automotive applications, the MIPI A-PHY v1.0 is the first industry-standard, long-reach serializer-deserializer (SerDes) physical-layer interface. The new specification provides an asymmetric data link in a point-to-point topology, providing high-speed unidirectional data, embedded bidirectional control data, and optional power delivery over a single cable. It targets high-speed links for cameras, displays, and sensors through native CSI-2 and DSI/DSI-2 interfaces, which support autonomous driving and other use cases. According to the MIPI Alliance, the A-PHY v1.0 standard provides:
  • High reliability: Ultra-low packet error rate (PER) of 10–19 for unprecedented performance over the vehicle lifetime
  • High resiliency: Ultra-high immunity to EMC effects in demanding automotive conditions
  • Long reach: Up to 15 meters
  • High performance: Data rate as high as 16 Gbits/s with a roadmap to 48 Gbits/s and beyond; v1.1, already in development, will provide a doubling of the high-speed data rate to 32 Gbits/s and increase the uplink data rate to 200 Mbits/s
Why is the MIPI A-PHY such a big deal? “At the technical level, it is a standard which is extremely resilient,” said Shwartzberg. “It has mechanisms built in to handle cable aging and deterioration, and we’re talking about cable reaches of up to 15 meters, while still passing all of the most stringent EMC requirements from OEMs and Tier 1 suppliers. With a view to the future, it’s a standard that scales up in terms of the bandwidth.” One key benefit is that it helps reduce signal loss in the cable. “As the frequency increases and as bandwidth increases, signal loss increases — that’s the physics of the cable, unfortunately,” Shwartzberg said. “If we run with an NRZ [non-return-to-zero] signal, we lose about –60 dB when talking about a 16-Gbits/s link. But Valens’s and now the MIPI A-PHY solutions use pulse-amplitude modulation [PAM]. It’s multi-level signaling that allows for the same 16 Gbits/s, depending upon whether we’re using four levels of PAM signaling [PAM-4] or 16 [PAM-16] to greatly reduce the symbol rate and thus greatly reduce the amount of signal loss in the cable. “So we operate at a much better operating point on the cable to reduce the losses. In addition, we have built-in mechanisms for just-in-time noise cancellation and physical-layer ultra-fast retransmission mechanisms for error correction that guarantee all data packets are actually successfully passed across the link.” Use cases for the technology include multiple sensors feeding into a single ECU or more than one SoC for redundancy or increased processing power. It also offers increased scalability in terms of the number of sensor modules that can be connected to the same ECU. And it can be used for ECU-to-ECU connectivity.
MIPI A-PHY
Figure 18 in the MIPI Alliance’s white paper1 on MIPI A-PHY illustrates the simplest direct connection between an A-PHY–equipped image sensor and an A-PHY–equipped ECU or automotive chip.
Valens’s A-PHY chipsets allow for the convergence of different data types onto a single-wire pair; depending on the chip family, it can even run on unshielded twisted-pair (UTP) cable. “We can still pass EMC tests even when running over the unshielded pair,” said Shwartzberg. “This is a multi-gigabit link and it is bidirectional, so we run data simultaneously over the same pair at the same time. For our own HDBaseT protocol, this is a symmetrical link, so the same bandwidth is running in both directions, and for MIPI A-PHY, it’s an asymmetrical link.” A hardwired DSP built into the silicon provides active noise cancellation, adaptive equalization, and echo cancellation to ensure mission-critical links. “With MIPI A-PHY, it brings a standard solution to the automotive industry, and we already see emerging a large ecosystem of interoperable devices from different vendors,” said Shwartzberg. This article was initially published on Electronic Products

Leave a comment