FinFETs, FD-SOI expand at Globalfoundries

Article By : Rick Merritt

The news is expected to boost the foundry's competitive position against larger rivals such as TSMC.

Globalfoundries has unveiled plans for a 7nm FinFET process that can deliver chips with up to 30% more performance or 60% less power consumption than its current 14nm node. The process will be in production in late 2018, delivering gate pitches as small as 30nm initially using only today’s optical lithography.

Separately, the company will support a new embedded MRAM in sub-Gbit densities starting in 2018 for chips made in its 22nm fully depleted silicon-on-insulator (FD-SOI) process. The memory technology, licensed from Everspin Technologies, will provide faster write speeds as well as lower power consumption and die size than current variants of embedded flash.

The news, detailed in a meeting with about 500 customers, boosts the foundry's competitive position against larger rivals such as TSMC. Both the 7nm and FD-SOI technologies are largely the heritage of IBM’s semiconductor group that officially merged with Globalfoundries in July 2015.

“For the first time we have a differentiated road map…we’re taking an independent view of where the market is going and developing technology for it,” said chief executive Sanjay Jha, a former Qualcomm and Motorola executive who took the reins at the foundry less than three years ago.

“I was TSMC’s biggest customer for a long time, we are not exactly where they are but the progress we have made is remarkable and I think customers are beginning to notice,” Jha said in a meeting with press and analyst.

He noted the foundry is still only about half the way to bringing uniformity across its fabs that came from AMD, IBM and Chartered Semiconductor. For example, some things as simple as file-naming conventions still vary among factories.

Among Globalfoundries’ other rivals are much larger companies with leading-edge technology but smaller foundry divisions.

Analysts agree Intel, the world’s largest semiconductor company, is still a step ahead of all comers with its process technology, but it recently re-booted it’s still fledgling foundry operations. It is ramping a 10nm process now which some observers suspect will be the technical equivalent of 7nm nodes from Globalfoundries and TSMC. The processes essentially push quad-patterning to its limit with plans to migrate to extreme ultraviolet (EUV) lithography when it is available.

Samsung, second only to Intel in semiconductors, is in a race for third place in foundry services. Like TSMC it developed a 10nm node ramping next year, largely in an effort to win the business of making the A-series processor in Apple’s next iPhone.

Some suggest Samsung hopes to roll out a more advanced process by 2019 based on EUV systems which have so far not delivered the throughput and reliability needed for mass producing chips. The Korean giant developed the 14nm FinFET process Globalfoundries licensed in April 2014 to compete with TSMC.

“We don’t want to license technology, we want to develop it ourselves,” said Gary Patton, Globalfoundries CTO who helped develop 45, 32, 22 and 14nm nodes while at IBM.

[Globalfoundries roadmap]
__Figure 1:__ *The foundry’s road map now sports in-house FD-SOI and FinFET offerings (Source: Globalfoundries)*

 
Next: First glance at Globalfoundries' 7nm »

Virtual Event - PowerUP Asia 2024 is coming (May 21-23, 2024)

Power Semiconductor Innovations Toward Green Goals, Decarbonization and Sustainability

Day 1: GaN and SiC Semiconductors

Day 2: Power Semiconductors in Low- and High-Power Applications

Day 3: Power Semiconductor Packaging Technologies and Renewable Energy

Register to watch 30+ conference speeches and visit booths, download technical whitepapers.

Leave a comment